Return to schedule

Tiny Tapeout 04 - Create a custom digital design and get it fabricated for only 100$ Feedback

Tiny Tapeout is a setup where Matt Ween and his team divide an MPW run on the Skywater 130 nm open source process into smaller slots.

The program target university students and hobbyist but also is a great opportunity for software people to get involved with the open-source chip design ecosystem that is just in its very early days.

A slot on the Tiny Tapeout is around 150x100 mm2 and will have space for around 150 registers and some custom logic - we have 8 input pins, 8 output pins, and 8 bidirectional pins. If more space is needed additional slot can be added for 50$. Tape out date is September and chips be back on a test PCB around February.

If you do not know how to create a digital design using Verilog, Tiny Tapeout support simple design created in Wokwi (Wokwi.com)

Check out Tiny tapeout here: https://tinytapeout.com/ And Embedded.fm has an episode dedicated on TT and Wokwi: https://embedded.fm/episodes/454


Hosts for Tiny Tapeout 04 - Create a custom digital design and get it fabricated for only 100$:


Metadata for Tiny Tapeout 04 - Create a custom digital design and get it fabricated for only 100$

To be recorded: No

URLs for Tiny Tapeout 04 - Create a custom digital design and get it fabricated for only 100$

No URLs found.


Schedule for Tiny Tapeout 04 - Create a custom digital design and get it fabricated for only 100$

  • Friday, Aug 4th, 2023, 16:00 (CEST) - Friday, Aug 4th, 2023, 18:00 (CEST) at Workshop Room